Автоматический генератор кода Морзе Советский патент 1984 года по МПК H04L15/28 

Описание патента на изобретение SU1107318A1

Изобретение относится к телеграфной связи и может быть использовано при обучении радиотелеграфистов приему на слух. Известен электронный датчик кода Mqpse, содержащий включенные соответ ствующим образом опорный генератор, пусковой датчик, триггеры, генератор тактовых импульсов, управляющий блок, выходной согласуняций блок, ре точектире и гистры, датчики логический блок СП. Недостатком датчика является сложность конструкции. Наиболее близким к предлагаемому является автоматический генератор кода Морзе, содержащий распределитель, выходы которого через коммутатор знаковых ячеек подключены к первым входам программной матрицы, генератор импульсов, выход которого соединен с тактовым входом знакового счетчика, установочные входы которого подключены к выходам блока начальной установки 12. Однако этот генератор не позволяет изменять закон распределения знаков и генерировать стартстопные и синхронные коды. Цель изобретения - расширение функциональных возможностей за счет генерирования стартстопных и синхронных кодов, наряду с кодом Морзе. Для достижения поставленной цели в автоматический генератор кода Морзе, содержащий распределитель выходы которого через коммутатор зна ковых ячеек подключены к первым входам программной матрицы, генератор импульсов, выход которого соединен с тактовым входом знакового счетчика, установочные входы которого подключены к выходам блока начальной ус тановки, введены блок устновки коэффициента счета, счетчик с переменным коэффициентом деления, программный счетчик, первый и второй регистры памяти, шифратор, мультиплексер, комбинатор, сумматор, счетчик интервалов, комбинатор длительности па ,уз, первый и второй элементы И и генератор шума, выход которого соеди нен с тактовым входом счетчика с пер менным коэффициентом деления и с татоквым входом программного счетчика, установочные входы которого подключены к первым выходам блока установки коэффициента счета, вторые выходы которого соединены с установочными входами счетчика с переменным коэффициентом деления, выходы которого соединены с установочными входами первого регистра памяти, стробирующий вход которого подключен к стробирующему входу второго регистра памяти и к выходу первого элемента И, входы которого подключены к выходам энакового счетчика, к первым входам сумматора и к первым входам мультиплексора, вторые входы которого подключены к выходам шифратора, входы которого соединены с выходами про- . граммной матрицы и с входами комбинатора, выходы которого соединены с вторыми входами сумматора, выход которого подключен к входу счетчика интервалов, первый выход которого соединен с входом комбинатора длительности пауз, выходы которого подключены к входам блока начальной установки, причем выход мультиплексора соединен с первым входом второго элемента И, второй вход которого подключен к второму выходу счетчика интервалов, выходы программного счетчика соединены с установочными входами второго регистра памяти, выходы которого подключены к вторым входам программной матрицы, а выходы первого регистра памяти соединены с входами распределителя. На фиг.1 изображена структурная электрическая схема генератора; на фиг.2 - временные диаграммы. Автоматический генератор кода Морзе содержит генератор 1 шума, счетчик 2 с переменным коэффициентом деления, первый регистр 3 памяти, блок 4 установки коэффициента счета, распределитель 5, коммутатор 6 знаковых ячеек, программную матрицу 7, комбинатор 8, сумматор 9, знаковый счетчик 10, блок 11 начальной установки, генератор 12 импульсов, комбинатор 13 длительности пауз, счетчик 14 интервалов, первый элемент И 15, шифратор 16, мультиплексор 17, программный счетчик 18, второй регистр 19 памяти, второй элемент И 20. Генератор работает следующим образом. Генератор 1 шума формирует окрашенный поток импульсов (фиг.2а). Полученный сигнал подается на тактовые входы счетчика 2 с переменньпч коэфициентом деления и программного

311073

четчика 18. Коэффициент счета счетика 2 с переменным коэффициентом еления { (Ч ) может изменяться от 1 о 15. Коэффициент счета программноо счетчика 18 (М) изменяется от 1 5 о 16. Таким образом, из комбинаций остояний счетчика 2 с переменным оэффициентом деления и программного счетчика 18 (фиг.) возможно ормировать до 240 различных равно- Ю вероятных комбинаций. Количество знаков азбуки кода Морзе ограничено и равно 40. Коэффициент счета счетчика 2 с переменным коэффициентом еления устанавливается в зависи- 15 мости от объема алфавита с помощью блока 4 установки коэффициента счета путем подачи на установочные входы i счетчика 2 с переменным коэффициентом деления соответствующих потен- 20 циалов, формируемьк на вторых выходах блока 4 установки коэффициента счета. Первые выходы блока 4 установки коэффициента счета служат для ограничения коэффициента счета про- . 25 граммного счетчика 18. Первый 3 и второй 11 регистры памяти осуществляют запись и хранение на период формирования знака кодовых комбинаций счетчика 2 с переменным коэффи-30 циентом деления и программного счетчика 18 соответственно. Запись информации в первый 3 и второй 19 регистры памяти осуществляется импульсом записи (фиг.2 W), подаваемым 35 с выхода первого элемента И 15. Сигнал с выхода первого регистра 3 памяти поступает в параллельном коде на распределитель 5, представляющий собой дешифратор. Распреде- 40 литель 5 имеет четыре входа и пятнадцать выходов. При появлении комбинации на входах распределителя 5 на одном из его выходов появляется сигнал, соответствующий уровню 45 логической 1, на всех остальных уровню логического О. При ограничении коэффициента счета счетчика 2 с переменным коэффициентом деления ограничивается и количество 50 выходов распределителя 5, которые могут переводиться в состояние логической 1. Сигнал с выходов распреде лителя 5 поступает на коммутатор 6 знаковых ячеек, где механическим 55 способом осуществляется соединение выходов распределителя 5 с соответствующими сигнальными входами

184

программной матрицы 7. Таким образом осуществляется изменение объема алфавита. Сигнал с выхода регистра 1 памяти в параллельном коде поступает на , вторые (управляющие) входы программной матрицы 7, представляющей многоканальный мультиплексор, который имеет сорок выходов. При этом изменение закона распределения знаков осуществляется изменением числа формируемых на вторых входах программной матрицы 7 комбинаций путем изменения коэффициента счета программного счетчика 18 и соответствующей коммутацией первых сигнальных входов программной матрицы 7.-При этом на одном из сорока выходов программной матрицы 7 формируется сигнал разрешения, соответствующий уровню логической t. Вероятность появления сигнала разрешения на L-м выходе в ограниченной выборке зависит от коэффициента счета счетчика 2 с переменным коэффициентом деления, коэффициента счета программного счетчика 18, коэффициента разветвления выхода коммутатора 6 знаковьгх ячеек ( n-i ) и может быть определена для равновероятных независимых событий по формуле

.

N-M

Появление сигнала разрешения на i,-M выходе программной матрицы 7 определяет генерируемый знак. На выходе комбинатора 8 и шифратора 16 одновременно поступает сигнал разрешения (фиг.2о). В зависимости от генерируемого знака на шести выходах комбинатора 8 формируется одна из десяти кодовых комбинаций, соответствующих длительности генерируемых знаков

1 О 1 О 1 О - Е

101 1 О О - Т, И

1 О 1 1 1 О - А, Н, С

1 1 О О О О - У, М, Д, Р, X

1 1 О О 1 О - 5, В, Г, Б, К, Л, Ф, Ж

1 1 О 1 О О - 4, 6, О, 3, Ц, П, Ю,

Я (знак)

1 101 1 О - 3, 7, Ы, Щ, И, Ч 1 1 1 О О О - 2, 8, Ш. 111010-1,9 111100-0

Одновременно на выходах шифратора 16 формируются комбинации 19-разрядного кода, соответствующие форме генерируемых знаков 10111011101 I 10111111 A, P, B, П, И 111011101110111011 9,0 Т, М, Н, О, Г, Ш 101010101111101111 4. 5, Е, И, С, X 111010101011101011 6,Д, Б 11101lf01010101011 7,3 111011101110101011 8,4 101010111011111111 I1 1 о 1 о 1 1 1 о 1 1 1 1 1 о 1 1 К, Ы, Ц 101011101110111111 2, У, Ф, Ю 111010101110111011 6 (знак) 101110101111111111 Л, Я II101 1 1010 1 1 1 11011 1 ш Генератор 12 импульсов работает в. режиме автогенерации и вырабатьшае импульсы тактовой частоты, период TO следования которых соответству ет длительности элементарных посылок точек (фиг.2г). Эти импульсы поступают на вход знакового счетчика 10.Сигнал с выходов разрядов знакового счетчика 10 (фиг.2а) одновременно поступает на первые входы муль типлексора 17, сумматора 9 и первого элемента И 15. При появлении на входах первого элемента И 15 кодовой комбинации 100111 на выходе его формируется импульс записи (фиг.). При совпадении комбинаций на выходах комбинатора 8 и соответствующих выхо дах разрядов знакового счетчика 10 на выходе сумматора 9 появляется импульс конца знака (фиг.2е), который является стробирующим и производит установку знакового счетчика 10 в исходное состояние, которое опреде ляет длительность паузы. Например, при паузе между знаками соответствую щей трем элементарным посылкам исходное состояние знакового счетчика 10 будет 100110, для 5 - 100100 (фиг.2(). Таким образом, при изменении длительности пауз между знаками и группами знаков изменяется только начальное состояние знакового счетчика 10. Для формирования З-значных групп сигнал с вькода сумматора 9 поступает на вход счетчика 14 интер|Валов, который служит для подсчета количества генерируемых знаков. Коэффициент счета счетчика 14 интервалов равен 250, причем на первом выходе управляющий сигнал формяру1ется делением стробирующих импуль,сов (фиг.2е ) с коэффициентом счета равным пяти {фиг.2з), с уровнем, соответствующим логической 1, а на BTopdM выходе с коэффициентом счета равным 250, с уровнем логического О. Сигнал с первого выхода счетчика 14 интервалов поступает на вход комбинатора 13 длительности пауз, при этом, например, если уровень сигнала соответствует логическому О, на выходе комбинатора 13 длительности пауз формируется комбинация 100110 .(для паузы длительностью в три точки), а при уровне 1 - комбинация ,100100 (для паузы длиной в пять точек) . Длительность пауз регулируется раздельно для различных уровней управляющего сигнала в пределах от трех до сорока тактовых интервалов. Мультиплексор 17 предназначен для преобразования параллельного кода на выходе шифратора 16 в последовательный код. На (сигнальные) вторые входы мультиплексора 17 поступает сигнал с выходов шифратора 16. Опрос входов мультиплексора 17 осуществляется знаковым генератором 10 путем формирования на первых (управляющих) входах мультиплексора 17 соответствующих кодовых комбинаций, при этом первому входу мультиплексора 17 соответствует комбинация 101001. Выходной сигнал, например, для выбранных знаков А, Р, С (пауза между знаками 3 точки, а между группами 5 точек) поступает на первый вход второго элемента И 20, на второй вход которого подается сигнал с второго выхода счетчика 14 интервалов (фиг.2 и ). При появлении на втором выходе счетчика 14 интервалов сигнала с уровнем логического О заканчивается формирование текста. Таким образом, на выходе устройства формируется импульсный поток сигналов азбуки Морзе с изменяемым объемом алфавита и законом распределения знаков в текстах. Структуру текстов, вероятность появления необходимых знаков Б тексте, из сжатость и скорость можно вводить практически любые. изменяя коэффициенты счета программн го счетчика 18, счетчика 2 с перемен ным коэффициентом деления и знакового счетчика 10 соответствующей комму ции в блоке 4 установки коэффициента счета, комбинатора 13 длительност пауз, а также изменяя частоту генера тора 12 импульсов. Кроме генерирования кода Морзе ге нератор может быть использован для генерирования кода Катана и любого синхронного и стартстопного кода с кодовой комбинацией до 19 элементарных посыпок, Например, для формирования знаков меладународного телеграфного кода № 2 на выходах комбинатора 8 формируется кодовая комбинация 111000. Одновременно на выходах шифратора 16 формируется комбинация 19 - ра рядного кода, соответствующая форме генерируемых знаков стартстопного МТК 2. 0011110000001111111 0011000011111111111 0011110000111111111 0000110011111111111 т.д. Для формирования знаков международного телеграфного кода № 3 (7-значный синхронный код) на выходах комбинатора 8 формируется кодовая комбинация 110000. Одновременно на выходах шифратора 16 формируется комбинация 19 разрядного кода. 0011010010110011111 0011001010110011111 0100101010110011111 1100001010110011111 и т.д. В данном примере первые 7 элементов комбинации соответствуют форме кодовой комбинации 7-элементного кода, вторые 7 элементов - комбинации Холостой ход. Это позволяет путем измерения комбинации на выходе комбинатора 8 формировать сигнал двухканальной передачи с временным уплотнением. Для этого кодовая комбинация на выходе комбинатора 8 имеет вид 110110 Для генерирования стартстопных и синхронных кодов на выходе комбинатора 13 длительности пауз формируется в любом случае комбинация 101001.

Похожие патенты SU1107318A1

название год авторы номер документа
Автоматический генератор кода Морзе 1985
  • Данилов Виктор Михайлович
  • Густов Сергей Владимирович
SU1309324A2
Преобразователь равномерного кода в код Морзе 1983
  • Семенов Александр Алексеевич
SU1107310A1
Генератор кода Морзе 1980
  • Кондрашов Виктор Михайлович
  • Кийло Леонард Эдуардович
SU935935A2
Генератор кода Морзе 1985
  • Кондрашов Виктор Михайлович
SU1243107A2
Формирователь сигналов кода морзе 1975
  • Пластов Евгений Михайлович
  • Конькин Илья Семенович
SU574862A1
Устройство для формирования кода морзе 1975
  • Конькин Илья Семенович
SU571926A1
Преобразователь кода N2 в код морзе 1976
  • Алдонин Иосиф Яковлевич
  • Розов Валентин Васильевич
  • Хацановский Эдуард Дмитриевич
SU612416A2
Генератор кода морзе 1977
  • Баландин Виктор Иванович
  • Кийло Леонард Эдуардович
  • Кондрашов Виктор Михайлович
SU750750A2
ВСЕСОЮЗНАЯ . 1973
  • В. И. Баландин Е. Н. Океанов
SU370737A1
ПРЕОБРАЗОВАТЕЛЬ КОДА № 2 В КОД МОРЗЕ 1968
SU211571A1

Иллюстрации к изобретению SU 1 107 318 A1

Реферат патента 1984 года Автоматический генератор кода Морзе

АВТОМАТИЧЕСКИЙ ГЕНЕРАТОР КОДА МОРЗЕ, содержащий распределитель, выходы которого через коммутатор знаковых ячеек подключены к первым входам программной матрицы, генератор импульсов, выход которого соединен с тактовым входом знакового счетчика, установочные входы которого подключены к выходам блока начальной установки, отличающийс я тем, что, с целью расширения функциональных возможностей за счет генерирования стартстопных и синхронных кодов наряду с кодом Морзе, введены блок установки коэффициента счета, счетчик с переменным коэффициентом деления, программный счетчик, первый и второй регистры памяти, шифратор, мультиплексер, комбинатор, сумматор, счетчик интервалов, комбинатор длительности пауз, первый и второй элементы И и генератор шума, выход которого соединен с тактовым входом счетчика с переменным коэффициентом деления и с тактовым входом программного счетчика, установочные входы которого подключены к первым выходам блока установки коэффициента счета, вторые выходы которого соединены с установочными входами счетчика с переменным коэффициентом деления, выходы которого соединены с установочными входами первого регистра памяти, стробирующий вход которого подключен к стробирующему входу второго регистра памяти и к выходу первого элемента И, входы которого подключены к выходам знакового счетчика, к первым входам сумматора и к первым входам мультиплексора, вторые входы которого подключены к выходам шифратора, входы которого соединены с выходами про(Л граммной матрицы и с входами комбинатора, выходы которого соединены с вторыми входами сумматора, выход которого подключен к входу счетчика интервалов, первый выход которого соединен с входом комбинатора длительности пауз, выходы которого подключены к входам блока начальной | установки, причем выход мультиплекСАЭ 00 сора соединен с первым входом второго элемента И, второй вход которого подключен к второму выходу счетчика интервалов, выходы программного счетчика соединены с установочными входами второго регистра памяти, выходы которого подключены к вторым входам программной матрицы, а выходы первого регистра памяти соединены с входами распределителя.

Формула изобретения SU 1 107 318 A1

Выход

Фиг.1

Документы, цитированные в отчете о поиске Патент 1984 года SU1107318A1

Печь для непрерывного получения сернистого натрия 1921
  • Настюков А.М.
  • Настюков К.И.
SU1A1
ЭЛЕКТРОННЫЙ ДАТЧИК КОДА МОРЗЕ 0
SU253113A1
Очаг для массовой варки пищи, выпечки хлеба и кипячения воды 1921
  • Богач Б.И.
SU4A1
Аппарат для очищения воды при помощи химических реактивов 1917
  • Гордон И.Д.
SU2A1
ГЕНЕРАТОР КОДА МОРЗЕ 0
  • В. И. Баландин, Л. Э. Кийло, В. М. Кондрашев, Е. Н. Оке Ано
  • С. П. Кулешов
SU310347A1
Приспособление для точного наложения листов бумаги при снятии оттисков 1922
  • Асафов Н.И.
SU6A1

SU 1 107 318 A1

Авторы

Назаренко Рудольф Николаевич

Данилов Виктор Михайлович

Даты

1984-08-07Публикация

1983-02-18Подача