Фазовращатель Советский патент 1988 года по МПК G01R25/04 

Описание патента на изобретение SU1370603A1

СО

о а о со

Похожие патенты SU1370603A1

название год авторы номер документа
Цифровой фазовращатель 1986
  • Новожилов Станислав Алексеевич
SU1372593A1
Фазовращатель 1984
  • Коровин Ремир Владимирович
  • Ковтун Иван Иванович
  • Черепнев Игорь Аркадьевич
SU1213435A1
Фазовращатель 1981
  • Ларин Игорь Олегович
  • Шарапов Павел Валентинович
SU993150A1
Устройство для управления лучом плоской антенной решетки 1983
  • Шишов Юрий Аркадьевич
  • Ворошилов Владимир Артемьевич
  • Лобов Валерий Павлович
SU1167616A1
Устройство для управления лучом антенной решетки 1983
  • Самойлов Леонтий Константинович
  • Николаев Сергей Васильевич
SU1144118A1
Вычислительное устройство для управления лучом плоской антенной решетки 1988
  • Шишов Юрий Аркадьевич
  • Голик Александр Михайлович
  • Клейменов Юрий Анатольевич
  • Мухаметшин Альфат Талгатович
SU1580393A1
УСТРОЙСТВО ФАЗИРОВАНИЯ ШКАЛЫ ВРЕМЕНИ ЭЛЕКТРОННЫХ ЧАСОВ 1994
  • Медведев А.Н.
  • Сорокина Е.С.
  • Хазов Г.А.
RU2084944C1
Цифровой фазометр 1982
  • Хатунцев Анатолий Григорьевич
  • Струков Анатолий Захарович
  • Губарев Лев Михайлович
  • Лаврентьев Николай Николаевич
SU1061062A1
Вычислительное устройство для управления лучом плоской антенной решетки 1981
  • Шишов Юрий Аркадьевич
  • Ворошилов Владимир Артемьевич
  • Лобов Валерий Павлович
SU1013967A1
УСТРОЙСТВО ДИСКРЕТНОГО ИЗМЕНЕНИЯ ФАЗЫСИГНАЛА 1971
SU306559A1

Иллюстрации к изобретению SU 1 370 603 A1

Реферат патента 1988 года Фазовращатель

Изобретение может быть использовано для построения устройства точной задержки импульсов. Цель изобретения - расширение функциональных возможностей фазовращателя (Ф). Ф содержит D-триггеры 1 и 2 и двоичный счетчик 4. Ф оснащен коммутатором 3, представляющим собой п-разрядный селектор 2 на 1, и образованы новые функциональные связи. Благодаря этому становится возможным регулировать величину сдвига фазы в обоих направлениях, причем фазовый сдвиг на несколько дискретов осуществляется за один период выходного сигнала. Использование в качестве исполнительного устройства следящих систем позволит улучшить динамические характеристики этих систем, в частности сократить время ввода в слежение. 2 ил. а (Л

Формула изобретения SU 1 370 603 A1

фуг. 7

ИтоГ ретение отнсэсится к радиотехнике и может быть использовано для построения устройства точной задержки импульсов, исполнительных уст- ройств в системах фазовой автоподстройки (ЦФАП).

Цель изобретения - расширение функциональных возможностей фазовращателя за счет введения заданного сдвига фазы за один период выходного сигнала.

На фиг.1 представлена функциональная схема фазовращателя; на фиг.2 - гзременные диаграммы его работы.

Фазовращатель содержит D-триггеры 1 и 2, коммутатор 3 и двоич}1ый счетчик 4. Тактовый вход счетчика является тактовым входом устройства. Вход Команда сдйига фазы устройст- ва соединен с С-входом триггера 1, ннформационныр вход которого установлен в единичное состояние, а выход соединен с D-входом D-триггера 2. нерслый выход D-триггера 2 соединен с R-входом сброса D-триггера 1 и ад- pecHiiiM входом коммутатора 3. Первая группа информационных входов коммутатора 3 установлена в состояние Код нулевого сдвига фазы, а вторая т руппа информационных входов соединена с входами устройства, задающими величину и направление сдвига фазы. Выходы коммутатора 3 соединены с входами записи начального состояния счетчика 4, выход которого соединен с входом разрешения записи начального состояния счетчика 4 с С-входом второго D-триггера и является выходом фазовращателя.

Коммутатор 3 представляет собой п-разрядный селектор 2 на 1 и в случае 4 разрядов может быть реализован например, на микросхеме К531КП11.

Счетчик 4 является п-разрядным двоич-д нулевого сдвига, соответствующий

ньпч счетчиком с предварительном установкой и в случае 4 разрядов может быть реализован, например, на базе интегральной микросхемы K53 lfE17.

Код нулевого сдвига фазы, в который устанавливается первая группа входов коммутатора 3, зависит от числа разрядов п счетчика 4 и соответствует двоичной форме представления числа . Это число - среднее из 2 возможных СОСТОЯНИЕ на выходе двоичного счетчика; оно делит всю совокупность выходных СОСТОЯНИЙ счетчика на две половины - с нулем и единицей

в старшем разряде. Такой код нулевого сдвига выбирается с целью получения равных возможностей для фазового сдвига в обоих направлениях, а также для упрощения управления фазовращателем.

Код нулевого сдвига фазы для конкретной разрядности счетчика устанавливается постоянным и не меняется в процессе работы фазовращателя.

.Для определенности примем направление сдвига фаз, ускоряющее приход очередного выходного импульса, за положительное направление, а отдаляющее его приход - за отрицательное.

При рассмотрении принципа работы фазовращателя зададим разрядность счетчика 4 п 4. Тогда число возможных состояний На выходе счетчика 4 2 16, а код нулевого сдвига фазы, в которьй устанавливается первая группа входов коммутатора- 3, соответствует числу 8.

Вход Команда сдвига фазы фазовращателя соединена с С-входом триггера 1. Временное положение этой команды может быть произвольным (фиг.2б), поэтому для согласования момента поступления команды с моментом установки выходного сигнала фазовращателя используется схема временной привязки на D-триггерах 1 и 2. Состояние выхода D-триггера 2 определяет, коды какой из двух групп входов коммутатора 3 передаются на входы начальной установки счетчика 4.

При отсутствии команды сдвига фазы на входе D-триггера 1 (фиг.26) выход D-триггера 2 и соединенный с ним адресный вход коммутатора 3 (фиг.2г) находятся в состоянии логической единицы. В этом случае на выходе коммутатора 3 присутствует код

0

5

двоичному представлению числа 8 (1000). Счетчик 4 начинает считать с восьмого состояния, и при достижении пятнадцатого состояния на его выходе появляется импульс переполнения. Этот импульс поступает на С-вход триггера 2 и на вход разрешения записи начального состояния счетчика 4. Если команда сдвига фазы отсутствует, то состояние выхода D-триггера 2, а следовательно, и коммутатора 3 не меняется, и на выходе счетчика 4 формируется последовательность импульсов

с периодом Т

где

период

тактовой частоты ((})иг.2а). При поступлении команды сдвига фазы (фиг.2б) на С-вход триггера 1 его выход изменяет свое состояние с нулевого на единичное (фиг,2в). Теперь по приходу очередного импульса переполнения (фиг.2д) на С-вход D-триггера 2 на его инверсном выходе появляется состояние логического нуля (фиг.2г), ко-ю группу входов коммутатора 3, опреде

торое возвращает выход D-тригтера 1 в исходное состояние. Это же импульс переполнения (фиг.2д) разрешает запись на входы начальной установки счетчика 4 кода нулевого сдвига фазы с выходов коммутатора 3. После этого так как выход D-триггера 2 изменил состояние на нулевое, выходы коммутатора 3 переключаются на вторые входы задающие величину и направление сдви га фаз (фиг.2е). По приходу очередного импульса переполнения (фиг.2д) этот код записрлвается на входы начальной установки счетчика 4, Этот же импульс переполнения, поступая на С-вход П-триггера 2, возв);)1цает его выход в исходное единичное состояние (фиг.2г). Коммутатор 3 снова переключается на код нулевого сдвига (фиг.2е). Счетчик 4 тем временем на- чинает считать с состояния, заданного кодом сдвиг а фаз, в который установлена вторая группа входов коммутатора 3. Если число, которому соответствует это состояние, меньше 8, то импульс переполнения счетчика 4 задерживается, происходит сдвиг фаз в отрицательном направлении. Если число больше 8, то импульс переполнения появляется раньше, происходит сдвиг фазы в положительном направлении. Величина сдвига фазы определяется разницей между задаваемым кодом и кодом нулевого сдвига. Например, задав код сдвига, соответствующий двоичному представлению числа 5, получим сдвиг на 3 дискрета в отрицательном направлении.

Ко времени появления сдвинутого импульса переполнения выход коммутатора 3 вновь установлен в состояние кода нулевого сдвига фазы и фазовращатель работает с периодом, соответствующим этому коду, т.е. Т, STg , до прихода следующей команды сдвига фазы.

Работа фазовращателя про исходит аналогично при лн.бом значении разрядности II счетчика 4. Такой фазовраща-

тель может обеспечить сдвиг периодической последовательности импульсов на величину Т (2 - 2)1.

Рассмотрим подробнее формат кода, задающего величину и направление сдвига фазы.

Старший разряд п-разрядиого двоичного кода, поступающего на вторую

5

0 5 Q

5

0

5

0

5

ляет знак сдвига фазы: для чисел в промежутке от О до 2 - 1 он равен О, что соответствует отрицательному направлению сдвига; для чисел в промежутке от 2 +1 до 2 -1 он равен I , что соответствует положительному направлению сдвига.

Остальные п-1 разряды, поступающие на вторую группу входов коммутатора 3, задают абсолютн то величину фазового сдвига в дополнительном коде. В вычислительной технике принято, что знаковый разряд отрицательных двоичных чисел в дополнительном коде равен 1, а положительных - 0. Таким образом,п-разрядный двоичный код, поступающий на вторую группу входов коммутатора 3, представляет собой величину сдвига фазы, выраженную в дополнительном коде с инверсией знака. Папример, для сдвига на 3 дискрета в отрицательном направлении надо подать на вторую группу входов коммутатора 3 код, соответствующий двоичному представлению числа 5, т.е. 0101; число -3 в дополнительном коде с инверсией знака тоже равно 0101, что и позволяет связать между собой эти числа.

Таким образом, введение в фазовращатель коммутатора и новых связей расширяет функциональные возможности устройства, так как позволяет в обоих направлениях регулировать величину сдвига фазы, причем фазовый сдвиг на несколько дискретов ос тцествляет- ся за один период выходного сигнала. Использование такого фазовращателя в качестве исполнительного устройства следящих систем на рснове ЦФАП позволит улучшить динамические характеристики этих систем,в частности сократить время ввода в слежение. Кроме того, возможно создание адаптивных систем на основе ЦФАЛ, которые работают с переменным дискретом регулирования в зависимости от внешних условий.

ормула

5

зоб

1370603

р е т е н и я

т в н в н г м н

Фазовращатель, содержащий первый и второй D-триггеры и счетчик, причем входная клемма Команда сдвига фазы устройства соединена С-входом первого D-триггера, D-вход которого соединен с клеммой единичного напряжения, а его выход соединен с D-BXO- дом. второго D-триггера, инверсный выход которого соединен с R-входом первого D-триггера, отличающийся тем, что, с целью расширения функциональных возможностей фазовращателя за сцет обеспечения задан- 15 фазовращателя, при этом тактовый вход

ного сдвига фазы за один период выходного сигнала, в него введен комму

татар, первая группа информационных входов которого установлена в состояние Код нулевого сдвига фазы, а вторая группа информационных входов соединена с входными клеммами задания величины и направления сдвига фазы, инверсный выход второго D-триггера соединен с адресным входом коммутатора, выходы которого соединены с входами записи начального состояния счетчика,выход которого соединен с входом разрешения записи начального состояния счетчика, С-входом второго D-триггера и выходной, клеммой

счетчика соединен с клеммой тактового сигнала фазовращателя.

Фиг. 2

Документы, цитированные в отчете о поиске Патент 1988 года SU1370603A1

Фазовращатель 1981
  • Ларин Игорь Олегович
  • Шарапов Павел Валентинович
SU993150A1
Печь для непрерывного получения сернистого натрия 1921
  • Настюков А.М.
  • Настюков К.И.
SU1A1
Проектирование импульсных и цифровых устройств радиотехнических систем/Под ред
М.Назаринова
Приспособление для установки двигателя в топках с получающими возвратно-поступательное перемещение колосниками 1917
  • Р.К. Каблиц
SU1985A1
Спускная труба при плотине 0
  • Фалеев И.Н.
SU77A1
Аппарат для очищения воды при помощи химических реактивов 1917
  • Гордон И.Д.
SU2A1

SU 1 370 603 A1

Авторы

Маров Андрей Николаевич

Ларин Игорь Олегович

Шарапов Павел Валентинович

Даты

1988-01-30Публикация

1986-06-10Подача