Цифровой фазовращатель Советский патент 1988 года по МПК H03H17/08 

Описание патента на изобретение SU1394410A1

шина

опорного

cutHQjia

fs,

т.

CSpoc

Похожие патенты SU1394410A1

название год авторы номер документа
Цифровой фазовращатель 1984
  • Колышкин Андрей Георгиевич
  • Костян Владимир Валентинович
  • Малюков Сергей Николаевич
SU1213434A1
Устройство регулируемой задержки 1984
  • Колышкин Андрей Георгиевич
  • Малюков Сергей Николаевич
SU1175020A1
Цифровой фазовращатель 1984
  • Колышкин Андрей Георгиевич
  • Малюков Сергей Николаевич
SU1239630A1
Цифровой фазовращатель 1983
  • Глаголев Вадим Алексеевич
  • Малюков Сергей Николаевич
SU1102026A2
Устройство для коррекции шкалы времени 1987
  • Судаков Александр Николаевич
  • Тюляков Аркадий Евгеньевич
SU1506570A1
Многоканальное устройство тестового контроля логических узлов 1988
  • Созин Юрий Борисович
  • Туробов Валерий Павлович
  • Дворкин Владимир Ефимович
SU1564623A1
Устройство для коррекции шкалы времени 1982
  • Федоров Анатолий Иванович
  • Тюляков Аркадий Евгеньевич
  • Судаков Александр Николаевич
SU1095431A1
Устройство для измерения скорости изменения частоты 1989
  • Павлов Михаил Александрович
  • Шевлягин Анатолий Андреевич
SU1620952A1
Цифровой фазовращатель 1978
  • Глаголев Вадим Алексеевич
  • Малюков Сергей Николаевич
SU748840A1
Многоканальное устройство ввода информации 1988
  • Кулаковский Анатолий Федорович
  • Камшилин Владимир Васильевич
SU1529238A1

Иллюстрации к изобретению SU 1 394 410 A1

Реферат патента 1988 года Цифровой фазовращатель

Изобретение может быть использовано в качестве исполнительного элемента систем автоматического регулирования по частоте, фазе или временной задержке. Цифровой фазовращатель содержит счетчик 1 импульсов, блок 2 сравнения, фазозадакщий блок 3, буферный регистр 4, элементы И-НЕ 5, 9 и 11 и D-триггеры 7 и 8. Введение генератора 6 одиночных импульсов, счетчика 10 импульсов и образование новых функциональных связей повышают надежность работы фазовращателя. 4 ил.

Формула изобретения SU 1 394 410 A1

. /

Изобретение относится к импульсной технике и может быть использовано в качестве исполнительного элемента систем автоматического регули- рования по частоте, фазе или временной задержке.

Цель изобретения - повышение надежности работы устройства.

На фиг.1 приведена функциональная схема цифрового фазовращателя; на фиг, 2 - схема генератора одиночных импульсов; на фиг. 3 - схема счетчика импульсов; на фиг. 4 - диаграммы, поясняющие работу устройства.

Устройство содержит счетчик 1 им- .пульсов, счетный вход которого подключен к шине опорного сигнала, а выходы подключены к первым входам блка 2 сравнения, вторые входы которог соединены с выходами фазозадающего блока 3, входы которого соединены с выходами буферного регистра 4, информационный вход которого подключен к шине передачи информации Инф., а тактовьй вход соединен с выходом -элемента И-НЕ 5, первый вход которого подключен к шине синхросигнала Синхросигнал, а второй его вход подключен к шине сигнала Запрос и к второму входу генератора 6 одиночных импульсов, первый выход которого соединен с шиной опорного сигнала и с тактовыми входами D-триггеров 7 и 8, информационные входы которого соединены с прямым и сопряженным выходами блока 2 сравнения соответственно, выход D-триггера 7 подключен к шине выходного сигнала, а выход. D-триггера 8 соединен с первым входом элемента И-НЕ 9 и с первым входом счетчика 10 импульсов, второй вход которого соединен с вторым входом элемента И-НЕ 11 и с вторым выходом генератора 6, первый выход которо го соединен с R-входом D-триггера В, а выход счетчика 10 соединен с первым входом элемента И-НЕ 11, выход которого соединен с вторым входом элемента И-НЕ 8, выход которого соеди нен с входом запись фазозадающего блока 3 .

Генератор 6 одиночньк импульсов (фиг.2) содержит D-триггеры 12 и 13, тактовые входы которых подключены к первому входу генератора, к второму входу которого подключен информацион- ньй вход D-триггера 13, прямой выход которого соединен с информационным

,

Q 15

205 зО Q 5

входом D-триггера. 12, инверсный выход D-триггера 13 подключен к первому входу генератора и к второму входу элемента И 14, первый вход которого соединен с выходом D-триггера 12, а элемента И 14 подключен к вто- рому выходу генератора.

Счетчик 10 импульсов (фиг.З) содержит элемент И 15, второй вход которого подключен к первому входу счетчика, а выход соединен с тактовым входом В-триггера 16, инверсный выход которого соединен с первым входом элемента И 17 и с информационным входом D-триггера 16, R-вход которого Соединен с вторым входом элемента И 1 7 и выходом элемента И-НЕ 18, первый вход которой подключен к второму входу счетчика, а второй вход элемента И-НЕ 18 соединен с прямым выходом D-триггера 19, инверсный выход D-триггера 19 подключен к выходу счетчика, первому входу элемента И 15 и к D-входу D-триггера 19, тактог,ый вход которого подключен к выходу элемента И 17.

Устройство работает следующим образом.

На счетный вход счетчика 1 импульсов поступают входные импульсы с частотой повторения f. При этом происходит периодическое изменение кода, записанного в счетчике импульсов 1 с периодом Т K/fg, где К - коэффициент пересчета счетчика 1 импульсов. Код А; (фиг.4б) с выходом разрядов счетчика 1 импульсов поступает на первые входы блока 2 сравнения, на вторые входы которого посту- .пает код В; (фиг.4б) с выходов фазо- задающего блока 3 . На выходах Р (фиг.4в) и Р блока 2 сравнения синхронно с моментами совпадения входных кодов формируются импульсы с часf

тотой повторения F сдвинутые один относительно другого на половину периода частоты f.,,. Однако,

,tiOlf,

кроме полезных импульсов, на выходах Р и Р блока 2 сравнения присутствуют паразитные импульсы, наличие которых обусловлено влиянием конечщ 1х задержек и времени срабатьтания регшь- ных элементов устройства. Для фильтрации паразитных импульсов сигналы с: выходов блока 2 сравнения подаются на информационные входы двзос D-триггеров 7 и 8 соответственно, на тактовые входы которых поступает сигнал опорной частоты f

О

На вьтсодах d и d (фиг.4г,д) D-триггеров 7 и 8 формируются импульсы, соответствующие только полезным составляющим сигналов совпадения Р и Р и сдвинутые один относительно другого на половину периода частоты f о. . и начальной фазой, определяеDot t

МОЙ моментом совпадения кодов в блоке 2 сравнения. Изменение кода В: на выходах фазозадающего блока 3 в соответствии с поступающими на его входы сигналами управления Uunp (фиг.4а) с выходов буферного регистра 4 вызывает изменение фазы импуль

сов, следующих с частотой выходах D-триггеров 7 и 8 ным дискретом

360° К

utf

При поступлении сигнала Запрос (фиг.4д) на вход элемента И-НЕ 5 рарешается прохождение сигнала Синхросигнал через этот элемент на тактовьй вход буферного регистра 4, что обеспечивает запись в него информации, поступающей на его информационный вход по шине Инф. К моменту окончания сигнала Запрос в буферном регистре 4 будет записан код управления фазой ипа (фиг.4а). Кроме того, сигнал Запрос поступит на второй вход генератора 6, на первый вход которого поступает опорный сигнал частоты f. Синхронно с частотой fj, сигнал Запрос появляется на первом выходе генератора 6 и с него псТстзшает на R-вход D-триг- гера 8, обеспечивая блокировку его работы и соответственно работу счетчика 10 (фиг.4д), а также перепись информации (фиг.4к) из буферного регистра А в фазозадающий блок 3 на момент записи информации в буферный регистр 4. По окончании сигнала Запрос синхронно с частотой f на втором выходе генератора 6 формируется одиночный импульс, которьм постпает на второй вход счетчика 10 и устанавливает его выход в исходное состояние. Этот же импульс пройдет на вход Запись фазозадающего блока 3 через элементы И-НЕ 11 и 9, если на первьш вход элемента И-НЕ 11 поступает разрешающий потенциал с выхода счетчика 10, которьш появляет

ь

ьзиуся в случае записи в фазозадающий блок 3 запрещенного управляющего кода и,.- , относящегося к исключенным состояниям счетчика 1 импульсов. ,

Следовательно, если в буферный регистр 4, по каким-либо причинам записан запрещенньй код управления фазой, по следующему сигналу За10 прос в буферный регистр 4 записывается рабочий код управления фазой и сформированный сигнал Сброс с второго выхода генератора 6 через элементы И-НЕ 11 и 9 поступит на вход

15 Запись фазозадающего блока 3 и обеспечивает смену фазозадающего кода в нем, что приводит к разблокировке выхода устройства.

Генератор 6 работает следующим

20 образом.

На первый вход генератора подается опорный сигнал частоты f , который поступит на тактовые входы D-триггеров 12 и 13. С вторрго входа

25 генератора 6 сигнал Запрос поступает на информационньш вход D-триг- гера 13. Синхронно с частотой f сигнал Запрос появляется на выходах D-триггера 13, с инверсного вы30 хода которого сигнал поступает на. первый выход генератора (фиг.4е), а с прямого выхода D-триггера 13 сигнал поступает на D-триггер 12. С задержкой на один такт частоты .

25 fg сигнал Запрос появляется на прямом выходе D-триггера 12. Задержанный и незадержанный сигналы с выхода D-триггеров 12 и 13 поступают на соответствующие входы элемента И 14,

40 на выходе которого формируется синхронно с частотой f импульс, который поступает на второй выход генератора 6.

Следовательно, в каждом цикле об45 ращения к устройству по сигналу Запрос генератор формирует одиночный , импульс, длительность которого равна периоду следования частоты.

Счетчик 10 работает следующим

5Q образом.

Предположим, что инверсный выход D-триггера 19, который является и выходом счетчика находится в состоянии логического нуля. Этот потенциал поступит на первьй вход элемента И 15 и згпретит прохождение через него импулБсов d, поступающих с пер- вого входа счетчика на второй вход элемента И 15.

55

513

При обращении к устройству по сигналу Запрос (фиг.4д) сформируется сигнал Сброс (фит .4,ж), который поступит на второй вход счетчика и с него через элемент И-НЕ 18 на R-вход D-триггера 16, устанавливая его инверсный выход в состояние логической единицы, которая подается на первый вход элемента И 17, на второй вход которого через элемент И 18 поступает сигнал Сброс. Проходя через элемент И 17, сигнал Сброс своим задним фронтом переводит инверсный выход D-триггера 19 в состояние логи ческой единицы, разрешая прохождение импульсов совпадения d через элемент И 15 на тактовый вход D-триггера 16, в котором подсчитываются импульсы совпадения d. По второму импульсу D-триггер 16 положительным фронтом выходного сигнала переводит инверсный выход D-триггера 19 в состояние логического нуля, запрещает дальнейший счет ймпульсоВ)d.

Формула изобр.е тения Цифровой фазовращатель, содержащий первьй счетчик импульсов, блок сравнения, фазозадакщий блок, бу- ферный регистр, два D-триггера и три элемента И-НЕ, причем счетный вход первого счетчика импульсов и синхро- входы D-триггеров соединены с входной шиной опорного сигнала, а инфор- мационные вкода первого и второго D-триггеров подключены к основному

106

и сопряженному выходам блока сравнения, первая и вторая группы входов которого соединены соответственно с выходами первого счетчика импульсов и фазоза- дающего блока,информационные входы которого соединены с выходами буферного регистра, информационный вход которого соединен с входной информационной щиной,тактовый вход буферного регистра подключен к выходу первого элемента И-НЕ, входы которого соединены с входными шинами Синхросигнал и Запрос, вход Запись фазо- задакяцего блока подключен к выходу второго элемента И-НЕ, второй вход которого соединен с выходом третьего элемента И-НЕ, отличающийся тем, что, с целью по- вьщ1ения надежности, в него введены гене.ратор одиночных импульсов и второй счетчик импульсов, вход счета которого соединен с первым входом втрого элемента И-НЕ и инверсньм выходом второго р-триггера синхровход которого подключен к тактовому входу генератора одиночных импульсов, информационный вход которого соединен с входной шиной Запрос, а его второй выход подключен к второму входу третьего элемента И-НЕ и к входу сброса второго счетчика импульсов, выход которого соединен с первым входом третьего элемента И-НЕ, причем первый выход .генератора одиночных импульсов подключен ко входу сброса второго D-триггера.

fo Запрос

Сброс

иг.2

ф5

/6

J9

О-

Сброс fej

Документы, цитированные в отчете о поиске Патент 1988 года SU1394410A1

Цифровой фазовращатель 1984
  • Колышкин Андрей Георгиевич
  • Костян Владимир Валентинович
  • Малюков Сергей Николаевич
SU1213434A1
Печь для непрерывного получения сернистого натрия 1921
  • Настюков А.М.
  • Настюков К.И.
SU1A1

SU 1 394 410 A1

Авторы

Колышкин Андрей Георгиевич

Малюков Сергей Николаевич

Даты

1988-05-07Публикация

1986-07-14Подача